作者
Anil Kanduri, Mohammad-Hashem Haghbayan, Amir-Mohammad Rahmani, Pasi Liljeberg, Axel Jantsch, Hannu Tenhunen
发表日期
2015/10/18
研讨会论文
2015 33rd IEEE International Conference on Computer Design (ICCD)
页码范围
573-580
出版商
IEEE
简介
Limitation on power budget in many-core systems leaves a fraction of on-chip resources inactive, referred to as dark silicon. In such systems, an efficient run-time application mapping approach can considerably enhance resource utilization and mitigate the dark silicon phenomenon. In this paper, we propose a dark silicon aware runtime application mapping approach that patterns active cores alongside the inactive cores in order to evenly distribute power density across the chip. This approach leverages dark silicon to balance the temperature of active cores to provide higher power budget and better resource utilization, within a safe peak operating temperature. In contrast with exhaustive search based mapping approach, our agile heuristic approach has a negligible runtime overhead. Our patterning strategy yields a surplus power budget of up to 17% along with an improved throughput of up to 21% in …
引用总数
2016201720182019202020212022202320246109557361
学术搜索中的文章
A Kanduri, MH Haghbayan, AM Rahmani, P Liljeberg… - 2015 33rd IEEE International Conference on Computer …, 2015