关注
Lixin Zhang
Lixin Zhang
在 ict.ac.cn 的电子邮件经过验证
标题
引用次数
引用次数
年份
A NUCA substrate for flexible CMP cache sharing
J Huh, C Kim, H Shafi, L Zhang, D Burger, SW Keckler
ACM International Conference on Supercomputing 25th Anniversary Volume, 380-389, 2005
4932005
Hybrid cache architecture with disparate memory technologies
X Wu, J Li, L Zhang, E Speight, R Rajamony, Y Xie
ACM SIGARCH computer architecture news 37 (3), 34-45, 2009
4832009
Impulse: Building a smarter memory controller
J Carter, W Hsieh, L Stoller, M Swanson, L Zhang, E Brunvand, A Davis, ...
Proceedings Fifth International Symposium on High-Performance Computer …, 1999
3701999
Mambo: a full system simulator for the PowerPC architecture
P Bohrer, J Peterson, M Elnozahy, R Rajamony, A Gheith, R Rockhold, ...
ACM SIGMETRICS performance evaluation review 31 (4), 8-12, 2004
1852004
Power and performance of read-write aware hybrid caches with non-volatile memories
X Wu, J Li, L Zhang, E Speight, Y Xie
2009 Design, Automation & Test in Europe Conference & Exhibition, 737-742, 2009
1572009
Characterizing data analysis workloads in data centers
Z Jia, L Wang, J Zhan, L Zhang, C Luo
2013 IEEE International Symposium on Workload Characterization (IISWC), 66-76, 2013
1512013
The impulse memory controller
L Zhang, Z Fang, M Parker, BK Mathew, L Schaelicke, JB Carter, ...
IEEE Transactions on Computers 50 (11), 1117-1132, 2001
1512001
Adaptive mechanisms and policies for managing cache hierarchies in chip multiprocessors
E Speight, H Shafi, L Zhang, R Rajamony
32nd International Symposium on Computer Architecture (ISCA'05), 346-356, 2005
1172005
Priority-based prefetch requests scheduling and throttling
L Chen, L Zhang
US Patent 8,255,631, 2012
1142012
Cloudrank-d: benchmarking and ranking cloud computing systems for data processing applications
C Luo, J Zhan, Z Jia, L Wang, G Lu, L Zhang, CZ Xu, N Sun
Frontiers of Computer Science 6, 347-362, 2012
1082012
Conditional speculation: An effective approach to safeguard out-of-order execution against spectre attacks
P Li, L Zhao, R Hou, L Zhang, D Meng
2019 IEEE international symposium on high performance computer architecture …, 2019
1052019
Moby: A mobile benchmark suite for architectural simulators
Y Huang, Z Zha, M Chen, L Zhang
2014 IEEE International Symposium on Performance Analysis of Systems and …, 2014
902014
Cvr: Efficient vectorization of spmv on x86 processors
B Xie, J Zhan, X Liu, W Gao, Z Jia, X He, L Zhang
Proceedings of the 2018 International Symposium on Code Generation and …, 2018
862018
Enabling rack-scale confidential computing using heterogeneous trusted execution environment
J Zhu, R Hou, XF Wang, W Wang, J Cao, B Zhao, Z Wang, Y Zhang, ...
2020 IEEE Symposium on Security and Privacy (SP), 1450-1465, 2020
832020
Method and apparatus for software-assisted data cache and prefetch control
R Archambault, Y Gao, FP O'connell, RB Tremaine, ME Wazlowski, ...
US Patent 8,490,065, 2013
822013
Cache partitioning with a partition table to effect allocation of ways and rows of the cache to virtual machine in virtualized environments
J Lin, L Zhang
US Patent 8,745,618, 2014
712014
Software solution for cooperative memory-side and processor-side data prefetching
Y Gao, GC Cascaval, AH Kielstra, RB Tremaine, ME Wazlowski, L Zhang
US Patent 9,798,528, 2017
672017
Reevaluating online superpage promotion with hardware support
Z Fang, L Zhang, JB Carter, WC Hsieh, SA McKee
Proceedings HPCA Seventh International Symposium on High-Performance …, 2001
662001
Active memory operations
Z Fang, L Zhang, JB Carter, A Ibrahim, MA Parker
Proceedings of the 21st annual international conference on Supercomputing …, 2007
622007
Data processing system and method for reducing cache pollution by write stream memory access patterns
RK Arimilli, FP O'connell, H Shafi, DE Williams, L Zhang
US Patent 8,909,871, 2014
612014
系统目前无法执行此操作,请稍后再试。
文章 1–20