Block copolymer directed self-assembly (DSA) aware contact layer optimization for 10 nm 1D standard cell library Y Du, D Guo, MDF Wong, H Yi, HSP Wong, H Zhang, Q Ma 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 186-193, 2013 | 64 | 2013 |
Hybrid lithography optimization with e-beam and immersion processes for 16nm 1D gridded design Y Du, H Zhang, MDF Wong, KY Chao 17th Asia and South Pacific Design Automation Conference, 707-712, 2012 | 57 | 2012 |
Spacer-is-dielectric-compliant detailed routing for self-aligned double patterning lithography Y Du, Q Ma, H Song, J Shiely, G Luk-Pat, A Miloslavsky, MDF Wong Proceedings of the 50th Annual Design Automation Conference, 1-6, 2013 | 45 | 2013 |
Self-aligned double patterning decomposition for overlay minimization and hot spot detection H Zhang, Y Du, MDF Wong, R Topaloglu Proceedings of the 48th Design Automation Conference, 71-76, 2011 | 45 | 2011 |
Optimization of standard cell based detailed placement for 16 nm FinFET process Y Du, MDF Wong 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2014 | 42 | 2014 |
DSA template mask determination and cut redistribution for advanced 1D gridded design Z Xiao, Y Du, MDF Wong, H Zhang Photomask Technology 2013 8880, 155-162, 2013 | 40 | 2013 |
Directed self-assembly (DSA) template pattern verification Z Xiao, Y Du, H Tian, MDF Wong, H Yi, HSP Wong, H Zhang Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014 | 38 | 2014 |
DSA-aware detailed routing for via layer optimization Y Du, Z Xiao, MDF Wong, H Yi, HSP Wong Alternative Lithographic Technologies VI 9049, 548-555, 2014 | 37 | 2014 |
Constrained pattern assignment for standard cell based triple patterning lithography H Tian, Y Du, H Zhang, Z Xiao, MDF Wong 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 178-185, 2013 | 33 | 2013 |
Layout small-angle rotation and shift for EUV defect mitigation H Zhang, Y Du, MDF Wong, Y Deng, P Mangat Proceedings of the International Conference on Computer-Aided Design, 43-49, 2012 | 33 | 2012 |
A polynomial time exact algorithm for self-aligned double patterning layout decomposition Z Xiao, Y Du, H Zhang, MDF Wong Proceedings of the 2012 ACM international symposium on International …, 2012 | 29 | 2012 |
A polynomial time exact algorithm for overlay-resistant self-aligned double patterning (SADP) layout decomposition Z Xiao, Y Du, H Zhang, MDF Wong IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2013 | 28 | 2013 |
Mask cost reduction with circuit performance consideration for self-aligned double patterning H Zhang, Y Du, MDF Wong, KY Chao 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011), 787-792, 2011 | 27 | 2011 |
Triple patterning aware detailed placement with constrained pattern assignment H Tian, Y Du, H Zhang, Z Xiao, MDF Wong 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 116-123, 2014 | 24 | 2014 |
Characterization and decomposition of self-aligned quadruple patterning friendly layout H Zhang, Y Du, MDF Wong, RO Topaloglu Optical Microlithography XXV 8326, 146-156, 2012 | 24 | 2012 |
Efficient pattern relocation for EUV blank defect mitigation H Zhang, Y Du, MDF Wong, RO Topalaglu 17th Asia and South Pacific Design Automation Conference, 719-724, 2012 | 24 | 2012 |
Effective decomposition algorithm for self-aligned double patterning lithography H Zhang, Y Du, MDF Wong, R Topaloglu, W Conley Optical Microlithography XXIV 7973, 176-186, 2011 | 23 | 2011 |
EUV mask preparation considering blank defects mitigation Y Du, H Zhang, MDF Wong, RO Topaloglu Photomask Technology 2011 8166, 243-253, 2011 | 21 | 2011 |
DSA template optimization for contact layer in 1D standard cell design Z Xiao, Y Du, H Tian, MDF Wong, H Yi, HSP Wong Alternative Lithographic Technologies VI 9049, 412-419, 2014 | 20 | 2014 |
Efficient multi-die placement for blank defect mitigation in EUV lithography Y Du, H Zhang, MDF Wong, Y Deng, RO Topaloglu Extreme Ultraviolet (EUV) Lithography III 8322, 873-882, 2012 | 17 | 2012 |