Architecture, chip, and package codesign flow for interposer-based 2.5-D chiplet integration enabling heterogeneous IP reuse J Kim, G Murali, H Park, E Qin, H Kwon, VCK Chekuri, NM Rahman, ... IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (11 …, 2020 | 57 | 2020 |
Architecture, chip, and package co-design flow for 2.5 D IC design enabling heterogeneous IP reuse J Kim, G Murali, H Park, E Qin, H Kwon, V Chaitanya, K Chekuri, N Dasari, ... Proceedings of the 56th Annual Design Automation Conference 2019, 1-6, 2019 | 55 | 2019 |
Enhanced power and electromagnetic SCA resistance of encryption engines via a security-aware integrated all-digital LDO A Singh, M Kar, VCK Chekuri, SK Mathew, A Rajan, V De, ... IEEE Journal of Solid-State Circuits 55 (2), 478-493, 2019 | 50 | 2019 |
A spectral convolutional net for co-optimization of integrated voltage regulators and embedded inductors HM Torun, H Yu, N Dasari, VCK Chekuri, A Singh, J Kim, SK Lim, ... 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2019 | 25 | 2019 |
A digital low-dropout regulator with autotuned PID compensator and dynamic gain control for improved transient performance under process variations and aging A Singh, M Kar, VCK Chekuri, SK Mathew, A Rajan, V De, ... IEEE Transactions on Power Electronics 35 (3), 3242-3253, 2019 | 22 | 2019 |
Chiplet/interposer co-design for power delivery network optimization in heterogeneous 2.5-D ICs J Kim, VCK Chekuri, NM Rahman, MA Dolatsara, HM Torun, ... IEEE Transactions on Components, Packaging and Manufacturing Technology 11 …, 2021 | 17 | 2021 |
Design flow for active interposer-based 2.5-D ICs and study of RISC-V architecture with secure NoC H Park, J Kim, VCK Chekuri, MA Dolatsara, M Nabeel, A Bojesomo, ... IEEE Transactions on Components, Packaging and Manufacturing Technology 10 …, 2020 | 16 | 2020 |
A fully synthesized integrated buck regulator with auto-generated GDS-II in 65nm CMOS process VCK Chekuri, NM Rahman, E Lee, A Signh, S Mukhopadhyay 2020 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2020 | 13 | 2020 |
Silicon vs. Organic interposer: PPA and reliability tradeoffs in heterogeneous 2.5 D chiplet integration J Kim, VCK Chekuri, NM Rahman, MA Dolatsara, H Torun, ... 2020 IEEE 38th International Conference on Computer Design (ICCD), 80-87, 2020 | 12 | 2020 |
Processing-in-memory-based on-chip learning with spike-time-dependent plasticity in 65-nm cmos D Kim, X She, NM Rahman, VCK Chekuri, S Mukhopadhyay IEEE Solid-State Circuits Letters 3, 278-281, 2020 | 12 | 2020 |
Automatic GDSII generator for on-chip voltage regulator for easy integration in digital SoCs VCK Chekuri, N Dasari, A Singh, S Mukhopadhyay 2019 IEEE/ACM International Symposium on Low Power Electronics and Design …, 2019 | 5 | 2019 |
Autotuning of integrated inductive voltage regulator using on-chip delay sensor to tolerate process and passive variations VCK Chekuri, M Kar, A Singh, S Mukhopadhyay IEEE Transactions on Very Large Scale Integration (VLSI) Systems 27 (8 …, 2019 | 5 | 2019 |
On the effect of NBTI induced aging of power stage on the transient performance of on-chip voltage regulators VCK Chekuri, A Singh, N Dasari, S Mukhopadhyay 2019 IEEE International Reliability Physics Symposium (IRPS), 1-5, 2019 | 4 | 2019 |
Performance based tuning of an inductive integrated voltage regulator driving a digital core against process and passive variations VCK Chekuri, M Kar, A Singh, S Mukhopadhyay 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 367-372, 2018 | 4 | 2018 |
Aging Challenges in On-chip Voltage Regulator Design VCK Chekuri, A Singh, NM Rahman, E Lee, S Mukhopadhyay 2020 IEEE International Reliability Physics Symposium (IRPS), 1-8, 2020 | 3 | 2020 |
A Configurable Dual-Mode PRINCE Cipher with Security Aware Pipelining in 65nm for High Throughput Applications NM Rahman, E Lee, VCK Chekuri, A Singh, S Mukhopadhyay 2020 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2020 | 3 | 2020 |
Analysis of the Effect of Hot Carrier Injection in An Integrated Inductive Voltage Regulator S Zhang, N Mizanur Rahman, VCK Chekuri, C Tokunaga, ... Proceedings of the ACM/IEEE International Symposium on Low Power Electronics …, 2022 | 2 | 2022 |
A Low-Power Authentication IC for Visible-Light-Based Interrogation E Lee, NM Rahman, VCK Chekuri, A Singh, S Mukhopadhyay IEEE Transactions on Industrial Electronics 69 (3), 3120-3130, 2021 | 2 | 2021 |
A ReRAM memory compiler with layout-precise performance evaluation E Lee, D Kim, VCK Chekuri, Y Long, S Mukhopadhyay 2019 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference …, 2019 | 2 | 2019 |
Design methodology for reliable and energy efficient self-tuned on-chip voltage regulators VCK Chekuri Ph. D. Dissertation, 2021 | 1 | 2021 |