A cost effective centralized adaptive routing for networks-on-chip

R Manevich, I Cidon, A Kolodny… - 2011 14th Euromicro …, 2011 - ieeexplore.ieee.org
As the number of applications and programmable units in CMPs and MPSoCs increases, the
Network-on-Chip (NoC) encounters unpredictable, heterogeneous and time dependent …

Centralized adaptive routing for NoCs

R Manevich, I Cidon - IEEE Computer Architecture Letters, 2010 - ieeexplore.ieee.org
As the number of applications and programmable units in CMPs and MPSoCs increases, the
Network-on-Chip (NoC) encounters diverse and time dependent traffic loads. This trend …

Assessing routing behavior on on-chip-network

HN Nguyen, VD Ngo, HW Choi - … International Conference on …, 2006 - ieeexplore.ieee.org
Network-on-chip (NoC) is being proposed as a scalable and reusable communication
platform for future SoC applications. An important problem in NoC design is deciding the …

PARS—An efficient congestion-Aware Routing method for Networks-on-Chip

X Chang, M Ebrahimi, M Daneshtalab… - The 16th CSI …, 2012 - ieeexplore.ieee.org
The performance of NoCs (Networks-On-Chip) highly relies on the routing algorithm.
Despite the higher implementation complexity compared with deterministic routing, adaptive …

Unbiased regional congestion aware selection function for nocs

W Zong, MO Agyemen, X Wang, T Maky - Proceedings of the 9th …, 2015 - dl.acm.org
Adaptive routing in Network-on-Chip (NoC) selects paths for packets according to network
state to reduce packet latency and balance network load. Existing adaptive routing schemes …

DyAD: smart routing for networks-on-chip

J Hu, R Marculescu - Proceedings of the 41st annual Design Automation …, 2004 - dl.acm.org
In this paper, we present and evaluate a novel routing scheme called DyAD which combines
the advantages of both deterministic and adaptive routing schemes. More precisely, we …

CARM: congestion adaptive routing method for on chip networks

M Kumar, V Laxmi, MS Gaur, SB Ko… - … Conference on VLSI …, 2014 - ieeexplore.ieee.org
Network-on-Chip (NoC) has emerged as a long-term and efficient on-chip communication
solution for MCSoC and CMP micro-architectures to overcome bottleneck of traditional bus …

A weighted minimal fully adaptive congestion aware routing algorithm for network on chip

HC Touati, F Boutekkouk - 2017 First International Conference …, 2017 - ieeexplore.ieee.org
One of the commonly known issues that contribute to performance degradation in on-chip
communication is congestion, by stalling data packets and increasing average latency. The …

A new fault-tolerant and congestion-aware adaptive routing algorithm for regular networks-on-chip

HS Kia, C Ababei - 2011 IEEE Congress of Evolutionary …, 2011 - ieeexplore.ieee.org
In this paper, we propose a new fault-tolerant and congestion-aware adaptive routing
algorithm for Networks-on-Chip (NoCs). The proposed algorithm is based on the ball and …

HPRA: A pro-active Hotspot-Preventive high-performance routing algorithm for Networks-on-Chips

E Kakoulli, V Soteriou… - 2012 IEEE 30th …, 2012 - ieeexplore.ieee.org
The inherent spatio-temporal unevenness of traffic flows in Networks-on-Chips (NoCs) can
cause unforeseen, and in cases, severe forms of congestion, known as hotspots. Hotspots …