Hardware approximate techniques for deep neural network accelerators: A survey

G Armeniakos, G Zervakis, D Soudris… - ACM Computing …, 2022 - dl.acm.org
Deep Neural Networks (DNNs) are very popular because of their high performance in
various cognitive tasks in Machine Learning (ML). Recent advancements in DNNs have …

Observer-based adaptive neural output feedback constraint controller design for switched systems under average dwell time

L Liu, Y Cui, YJ Liu, S Tong - IEEE Transactions on Circuits and …, 2021 - ieeexplore.ieee.org
Aiming at a class of switched uncertain nonlinear strict-feedback systems under the action of
average dwell time switching signal, this paper proposes a novel adaptive neural network …

Design and analysis of approximate 4–2 compressors for high-accuracy multipliers

T Kong, S Li - IEEE Transactions on Very Large Scale …, 2021 - ieeexplore.ieee.org
Approximate multipliers are applicable in error-resilient applications with relaxed precision
constraints, including image processing, multimedia, and data recognition. Such multipliers …

Approximate computing for ML: State-of-the-art, challenges and visions

G Zervakis, H Saadat, H Amrouch… - Proceedings of the 26th …, 2021 - dl.acm.org
In this paper, we present our state-of-the-art approximate techniques that cover the main
pillars of approximate computing research. Our analysis considers both static and …

Energy efficient edge computing enabled by satisfaction games and approximate computing

N Irtija, I Anagnostopoulos, G Zervakis… - IEEE Transactions …, 2021 - ieeexplore.ieee.org
In this paper, we introduce an energy efficient edge computing solution to collaboratively
utilize Multi-access Edge Computing (MEC) and Fully Autonomous Aerial Systems (FAAS) to …

Axppa: Approximate parallel prefix adders

MMA da Rosa, G Paim, PÜL da Costa… - … Transactions on Very …, 2022 - ieeexplore.ieee.org
Addition units are widely used in many computational kernels of several error-tolerant
applications such as machine learning and signal, image, and video processing. Besides …

Adapt: Fast emulation of approximate dnn accelerators in pytorch

D Danopoulos, G Zervakis, K Siozios… - … on Computer-Aided …, 2022 - ieeexplore.ieee.org
Current state-of-the-art employs approximate multipliers to address the highly increased
power demands of deep neural network (DNN) accelerators. However, evaluating the …

An energy-efficient transformer processor exploiting dynamic weak relevances in global attention

Y Wang, Y Qin, D Deng, J Wei, Y Zhou… - IEEE Journal of Solid …, 2022 - ieeexplore.ieee.org
Transformer-based models achieve tremendous success in many artificial intelligence (AI)
tasks, outperforming conventional convolution neural networks (CNNs) from natural …

CNN inference using a preprocessing precision controller and approximate multipliers with various precisions

I Hammad, L Li, K El-Sankary, WM Snelgrove - IEEE Access, 2021 - ieeexplore.ieee.org
This article proposes boosting the multiplication performance for convolutional neural
network (CNN) inference using a precision prediction preprocessor which controls various …

Dynamic dataflow scheduling and computation mapping techniques for efficient depthwise separable convolution acceleration

B Li, H Wang, X Zhang, J Ren, L Liu… - … on Circuits and …, 2021 - ieeexplore.ieee.org
Depthwise separable convolution (DSC) has become one of the essential structures for
lightweight convolutional neural networks. Nevertheless, its hardware architecture has not …