Whole packet forwarding: Efficient design of fully adaptive routing algorithms for networks-on-chip

S Ma, NE Jerger, Z Wang - IEEE International Symposium on …, 2012 - ieeexplore.ieee.org
Routing algorithms for networks-on-chip (NoCs) typically only have a small number of virtual
channels (VCs) at their disposal. Limited VCs pose several challenges to the design of fully …

Novel flow control for fully adaptive routing in cache-coherent NoCs

S Ma, Z Wang, NE Jerger, L Shen… - IEEE Transactions on …, 2013 - ieeexplore.ieee.org
Routing algorithms for cache-coherent NoCs only have limited VCs at their disposal, which
poses challenges to the design of routing algorithms. Existing fully adaptive routing …

Footprint: Regulating routing adaptiveness in networks-on-chip

B Fu, J Kim - Proceedings of the 44th Annual International …, 2017 - dl.acm.org
Routing algorithms can improve network performance by maximizing routing adaptiveness
but can be problematic in the presence of endpoint congestion. Tree-saturation is a well …

A traffic-aware adaptive routing algorithm on a highly reconfigurable network-on-chip architecture

Z Qian, P Bogdan, G Wei, CY Tsui… - Proceedings of the eighth …, 2012 - dl.acm.org
In this paper, we propose a flexible NoC architecture and a dynamic distributed routing
algorithm which can enhance the NoC communication performance with minimal energy …

A cost effective centralized adaptive routing for networks-on-chip

R Manevich, I Cidon, A Kolodny… - 2011 14th Euromicro …, 2011 - ieeexplore.ieee.org
As the number of applications and programmable units in CMPs and MPSoCs increases, the
Network-on-Chip (NoC) encounters unpredictable, heterogeneous and time dependent …

A DP-network for optimal dynamic routing in network-on-chip

T Mak, PYK Cheung, W Luk, KP Lam - Proceedings of the 7th IEEE/ACM …, 2009 - dl.acm.org
Dynamic routing is desirable because of its substantial improvement in communication
bandwidth and intelligent adaptation to faulty links and congested traffics. However …

FreeRider: Non-local adaptive network-on-chip routing with packet-carried propagation of congestion information

S Liu, T Chen, L Li, X Li, M Zhang… - … on Parallel and …, 2014 - ieeexplore.ieee.org
Non-local adaptive routing techniques, which utilize statuses of both local and distant links
to make routing decisions, have recently been shown to be effective solutions for promoting …

Centralized adaptive routing for NoCs

R Manevich, I Cidon - IEEE Computer Architecture Letters, 2010 - ieeexplore.ieee.org
As the number of applications and programmable units in CMPs and MPSoCs increases, the
Network-on-Chip (NoC) encounters diverse and time dependent traffic loads. This trend …

EDXY–A low cost congestion-aware routing algorithm for network-on-chips

P Lotfi-Kamran, AM Rahmani, M Daneshtalab… - Journal of Systems …, 2010 - Elsevier
In this paper, an adaptive routing algorithm for two-dimensional mesh network-on-chips
(NoCs) is presented. The algorithm, which is based on Dynamic XY (DyXY), is called …

Hermes: Architecting a top-performing fault-tolerant routing algorithm for Networks-on-Chips

C Iordanou, V Soteriou… - 2014 IEEE 32nd …, 2014 - ieeexplore.ieee.org
Networks-on-Chips (NoCs) are experiencing escalating susceptibility to wear-out and
reduced reliability, with the risk of becoming the key point of failure in an entire multicore …