A fault tolerance technique for combinational circuits based on selective-transistor redundancy

AT Sheikh, AH El-Maleh, MES Elrabaa… - IEEE Transactions on …, 2016 - ieeexplore.ieee.org
With fabrication technology reaching nanolevels, systems are becoming more prone to
manufacturing defects with higher susceptibility to soft errors. This paper is focused on …

A generalized modular redundancy scheme for enhancing fault tolerance of combinational circuits

AH El-Maleh, FC Oughali - Microelectronics Reliability, 2014 - Elsevier
Nano-scale devices are continuously shrinking, operating at lower voltages and higher
frequencies. This makes them more susceptible to environmental perturbations and …

[PDF][PDF] Transistor-Level Defect Tolerant Digital System Design at the Nanoscale

AH El-Maleh, A Al-Yamani, BM Al-Hashimi - Research Proposal Submitted …, 2007 - Citeseer
Nanotechnology-based fabrication is expected to offer the extra density and potential
performance to take electronic circuits beyond the scaling limits reached by CMOS …

Software based fault tolerance: a survey

GK Saha - Ubiquity, 2006 - dl.acm.org
This article aims to present a survey of important software based (or software controlled)
fault tolerance literature over the period of 1966 to 2006. Nowadays, fault tolerance is a …

Simulation-based method for synthesizing soft error tolerant combinational circuits

AH El-Maleh, KAK Daud - IEEE Transactions on Reliability, 2015 - ieeexplore.ieee.org
Due to current technology scaling trends, digital designs are becoming more sensitive to
radiation-induced particle hits resulting from radioactivity decay and cosmic rays. A low …

A fault-tolerant technique using quadded logic and quadded transistors

J Han, E Leung, L Liu… - IEEE Transactions on Very …, 2014 - ieeexplore.ieee.org
Advances in CMOS technology have made digital circuits and systems very sensitive to
manufacturing variations, aging, and/or soft errors. Fault-tolerant techniques using hardware …

Reliability of logic circuits under multiple simultaneous faults

DT Franco, MC Vasconcelos, L Naviner… - 2008 51st Midwest …, 2008 - ieeexplore.ieee.org
The reliability of integrated circuits has become an unavoidable subject in the nanoscale
era. The susceptibility of combinational logic circuits to faults is of increasing interest, and …

Soft error resilient system design through error correction

S Mitra, M Zhang, N Seifert, TM Mak, KS Kim - VLSI-SoC: Research Trends …, 2008 - Springer
This paper presents an overview of the Built-In Soft Error Resilience (BISER) technique for
correcting soft errors in latches, flip-flops and combinational logic. The BISER technique …

Reliability and failure analysis of voting circuits in hardware redundant design

M Radu, D Pitica, C Posteuca - International Symposium on …, 2000 - ieeexplore.ieee.org
This paper presents some aspects of fault-tolerant design using hardware redundancy. The
voter is the key element in N-modular redundant design. Hardware voters are bit voters that …

Robust system design to overcome CMOS reliability challenges

S Mitra, K Brelsford, YM Kim… - IEEE Journal on …, 2011 - ieeexplore.ieee.org
Today's mainstream electronic systems typically assume that transistors and interconnects
operate correctly over their useful lifetime. With enormous complexity and significantly …