Content-addressable memory (CAM) circuits and architectures: A tutorial and survey

K Pagiamtzis, A Sheikholeslami - IEEE journal of solid-state …, 2006 - ieeexplore.ieee.org
We survey recent developments in the design of large-capacity content-addressable
memory (CAM). A CAM is a memory that implements the lookup-table function in a single …

Hybrid-type CAM design for both power and performance efficiency

YJ Chang, YH Liao - IEEE transactions on very large scale …, 2008 - ieeexplore.ieee.org
Content-addressable memory (CAM) is a hardware table that can compare the search data
with all the stored data in parallel. Due to the parallel comparison feature where a large …

A low-power content-addressable memory (CAM) using pipelined hierarchical search scheme

K Pagiamtzis, A Sheikholeslami - IEEE Journal of Solid-State …, 2004 - ieeexplore.ieee.org
This paper presents two techniques to reduce power consumption in content-addressable
memories (CAMs). The first technique is to pipeline the search operation by breaking the …

A mismatch-dependent power allocation technique for match-line sensing in content-addressable memories

I Arsovski, A Sheikholeslami - IEEE Journal of Solid-State …, 2003 - ieeexplore.ieee.org
In the conventional content-addressable memory (CAM), equal power is consumed to
determine if a stored word is matched to a search word or mismatched, independent of the …

Fully parallel 30-MHz, 2.5-Mb CAM

F Shafai, KJ Schultz, GFR Gibson… - IEEE Journal of Solid …, 1998 - ieeexplore.ieee.org
Translation functions in high-speed communications networks such as Internet protocol and
asynchronous transfer mode are requiring larger and faster lookup tables. Content …

A design for high-speed low-power CMOS fully parallel content-addressable memory macros

H Miyatake, M Tanaka, Y Mori - IEEE Journal of Solid-State …, 2001 - ieeexplore.ieee.org
Described is a design for high-speed low-power-consumption fully parallel content-
addressable memory (CAM) macros for CMOS ASIC applications. The design supports …

TCAM architecture for IP lookup using prefix properties

VC Ravikumar, RN Mahapatra - IEEE Micro, 2004 - ieeexplore.ieee.org
In modern IP routers, Internet protocol (IP) lookup forms a bottleneck in packet forwarding
because the lookup speed cannot catch up with the increase in link bandwidth. Ternary …

Z-TCAM: an SRAM-based architecture for TCAM

Z Ullah, MK Jaiswal… - IEEE transactions on very …, 2014 - ieeexplore.ieee.org
Ternary content addressable memories (TCAMs) perform high-speed lookup operation but
when compared with static random access memories (SRAMs), TCAMs have certain …

A ternary content-addressable memory (TCAM) based on 4T static storage and including a current-race sensing scheme

I Arsovski, T Chandler… - IEEE Journal of Solid …, 2003 - ieeexplore.ieee.org
A 256× 144-bit TCAM is designed in 0.18-μm CMOS. The proposed TCAM cell uses 4T
static storage for increased density. The proposed match-line (ML) sense scheme reduces …

Low power design of precomputation-based content-addressable memory

SJ Ruan, CY Wu, JY Hsieh - … on very large scale integration (vlsi …, 2008 - ieeexplore.ieee.org
Content-addressable memory (CAM) is frequently used in applications, such as lookup
tables, databases, associative computing, and networking, that require high-speed searches …