The future of FPGA acceleration in datacenters and the cloud

C Bobda, JM Mbongue, P Chow, M Ewais… - ACM Transactions on …, 2022 - dl.acm.org
In this article, we survey existing academic and commercial efforts to provide Field-
Programmable Gate Array (FPGA) acceleration in datacenters and the cloud. The goal is a …

Network function virtualization: State-of-the-art and research challenges

R Mijumbi, J Serrat, JL Gorricho… - … surveys & tutorials, 2015 - ieeexplore.ieee.org
Network function virtualization (NFV) has drawn significant attention from both industry and
academia as an important shift in telecommunication service provisioning. By decoupling …

FPGA-based remote power side-channel attacks

M Zhao, GE Suh - 2018 IEEE Symposium on Security and …, 2018 - ieeexplore.ieee.org
The rapid adoption of heterogeneous computing has driven the integration of Field
Programmable Gate Arrays (FPGAs) into cloud datacenters and flexible System-on-Chips …

FPGA dynamic and partial reconfiguration: A survey of architectures, methods, and applications

K Vipin, SA Fahmy - ACM Computing Surveys (CSUR), 2018 - dl.acm.org
Dynamic and partial reconfiguration are key differentiating capabilities of field
programmable gate arrays (FPGAs). While they have been studied extensively in academic …

Context-aware sequential recommendation

Q Liu, S Wu, D Wang, Z Li… - 2016 IEEE 16th …, 2016 - ieeexplore.ieee.org
Since sequential information plays an important role in modeling user behaviors, various
sequential recommendation methods have been proposed. Methods based on Markov …

Sharing, Protection, and Compatibility for Reconfigurable Fabric with {AmorphOS}

A Khawaja, J Landgraf, R Prakash, M Wei… - … USENIX Symposium on …, 2018 - usenix.org
Cloud providers such as Amazon and Microsoft have begun to support on-demand FPGA
acceleration in the cloud, and hardware vendors will support FPGAs in future processors. At …

FPGAhammer: Remote voltage fault attacks on shared FPGAs, suitable for DFA on AES

J Krautter, DRE Gnad, MB Tahoori - IACR Transactions on …, 2018 - tches.iacr.org
With each new technology generation, the available resources on Field Programmable Gate
Arrays increase, making them more attractive for partial access from multiple users. They get …

Do {OS} abstractions make sense on {FPGAs}?

D Korolija, T Roscoe, G Alonso - 14th USENIX Symposium on Operating …, 2020 - usenix.org
Hybrid computing systems, consisting of a CPU server coupled with a Field-Programmable
Gate Array (FPGA) for application acceleration, are today a common facility in datacenters …

Virtualized FPGA accelerators for efficient cloud computing

SA Fahmy, K Vipin, S Shreejith - 2015 IEEE 7th International …, 2015 - ieeexplore.ieee.org
Hardware accelerators implement custom architectures to significantly speed up
computations in a wide range of domains. As performance scaling in server-class CPUs …

Reconfigurable computing architectures

R Tessier, K Pocek, A DeHon - Proceedings of the IEEE, 2015 - ieeexplore.ieee.org
Reconfigurable architectures can bring unique capabilities to computational tasks. They
offer the performance and energy efficiency of hardware with the flexibility of software. In …