A ring-oscillator-based degradation monitor concept with tamper detection capability

J Diaz-Fortuny, P Saraza-Canflanca… - 2022 IEEE …, 2022 - ieeexplore.ieee.org
Refurbished chips (ie, chips re-used legally in circular economy) and counterfeited chips (ie,
used chips fraudulently sold as new) are a growing concern for the industry because of their …

Improving the reliability of SRAM-based PUFs under varying operation conditions and aging degradation

P Saraza-Canflanca, H Carrasco-Lopez… - Microelectronics …, 2021 - Elsevier
The utilization of power-up values in SRAM cells to generate PUF responses for chip
identification is a subject of intense study. The cells used for this purpose must be stable, ie …

A DRV-based bit selection method for SRAM PUF key generation and its impact on ECCs

A Santana-Andreo, P Saraza-Canflanca… - Integration, 2022 - Elsevier
PUFs based on the power-up values of an array of SRAM cells are a popular solution to
provide secure and low-cost key generation suitable for IoT devices. However, SRAM cells …

A smart SRAM-Cell array for the experimental study of variability phenomena in CMOS technologies

P Saraza-Canflanca, H Carrasco-Lopez… - 2022 IEEE …, 2022 - ieeexplore.ieee.org
Time-Dependent Variability phenomena can have a considerable impact on circuit
performance, especially for deeply-scaled technologies. To account for this, these …

AI-Enabled Hardware Security Approach for Aging Classification and Manufacturer Identification of SRAM PUFs

H Singh - 2024 - rave.ohiolink.edu
Semiconductor microelectronics integrated circuits (ICs) are increasingly integrated into
modern life-critical applications, from intelligent infrastructure and consumer electronics to …

Improving the reliability of SRAM-based PUFs in the presence of aging

P Saraza-Canflanca, H Carrasco-Lopez… - 2020 15th Design & …, 2020 - ieeexplore.ieee.org
The utilization of power-up values in SRAM cells for the generation of PUF responses has
been widely studied. It is important that the cells used for this purpose are stable, ie, the cells …

[HTML][HTML] Reliability improvement of SRAM PUFs based on a detailed experimental study into the stochastic effects of aging

A Santana-Andreo, P Saraza-Canflanca… - … -International Journal of …, 2024 - Elsevier
Abstract Physical Unclonable Functions (PUFs) have gained attention as a lightweight
hardware security primitive. In particular, the SRAM-based PUF uses the unpredictable …

[引用][C] Study of variability phenomena on CMOS technologies for its mitigation and exploitation

[引用][C] Impacto de la variabilidad dependiente del tiempo en circuitos integrados en tecnologías nanométricas: modelado, simulación y caracterización experimental

P Martín LLoret - 2021