Thin gate oxide damage due to plasma processing

HC Shin, C Hu - Semiconductor Science and Technology, 1996 - iopscience.iop.org
Plasma processes cause current to flow through the thin oxide and the resultant plasma-
induced damage can be simulated and modelled as damage produced by constant-current …

ESD/antenna diodes for through-silicon vias

Q Su, M Ni, ZW Tang, J Kawa, JD Sproch - US Patent 8,264,065, 2012 - Google Patents
BACKGROUND The present invention relates to methods and structures for addressing ESD
and antenna effects experienced by devices in the presence of through-silicon Vias …

Accelerated testing of SiO/sub 2/reliability

E Rosenbaum, JC King, C Hu - IEEE Transactions on Electron …, 1996 - ieeexplore.ieee.org
This paper compares several popular accelerated test methods for projecting SiO/sub
2/lifetime distribution or failure rate: constant-voltage and constant-current time-to …

A single-chip 60-V bulk charger for series Li-ion batteries with smooth charge-mode transition

SH Yang, JW Liu, CC Wang - IEEE Transactions on Circuits and …, 2012 - ieeexplore.ieee.org
In this paper, a single-chip 60-V battery charger prototype is presented. The newly proposed
charge mode transition can ensure smooth transitions between incremental current (IC) …

Plasma etching charge-up damage to thin oxides

H Shin, N Jha, Q Xue-Yu, GW Hills, C Hu - Solid State Technology, 1993 - go.gale.com
MOS gate oxide degradation has been attributed to electrical charging during plasma
processing| 1~-| 23~. Since plasma-induced damage may cause further IC yield loss by …

Modeling oxide thickness dependence of charging damage by plasma processing

H Shin, K Noguchi, C Hu - IEEE Electron Device Letters, 1993 - ieeexplore.ieee.org
Develops a quantitative model for thin oxide plasma charging damage by examining the
oxide thickness dependence of charging current. The current is deduced from capacitance …

Multilevel routing with antenna avoidance

TY Ho, YW Chang, SJ Chen - … of the 2004 international symposium on …, 2004 - dl.acm.org
As technology advances into the nanometer territory, the antenna problem has caused
significant impact on routing tools. The antenna effect is a phenomenon of plasma-induced …

Dependence of plasma-induced oxide charging current on Al antenna geometry

H Shin, C Hu - IEEE Electron device letters, 1992 - ieeexplore.ieee.org
The dependence of the plasma-induced oxide charging current on Al electrode geometry
has been studied. The stress current is collected only through the electrode surfaces not …

Detection of an antenna effect in VLSI designs

W Maly, C Ouyang, S Ghosh… - Proceedings. 1996 IEEE …, 1996 - ieeexplore.ieee.org
This paper describes an extraction methodology capable of detecting" antenna" condition in
VLSI designs. Proposed methodology can handle large size designs using standard design …

A new router for reducing" antenna effect" in ASIC design

H Shirota, T Sadakane, M Terai… - Proceedings of the IEEE …, 1998 - ieeexplore.ieee.org
In this paper, an efficient router for reducing" antenna effect" damage is reported. The
antenna effect is a phenomenon of gate-oxide degradation by charge buildup on conductors …