A full spectrum of computing-in-memory technologies

Z Sun, S Kvatinsky, X Si, A Mehonic, Y Cai… - Nature Electronics, 2023 - nature.com
Computing in memory (CIM) could be used to overcome the von Neumann bottleneck and to
provide sustainable improvements in computing throughput and energy efficiency …

An overview of processing-in-memory circuits for artificial intelligence and machine learning

D Kim, C Yu, S Xie, Y Chen, JY Kim… - IEEE Journal on …, 2022 - ieeexplore.ieee.org
Artificial intelligence (AI) and machine learning (ML) are revolutionizing many fields of study,
such as visual recognition, natural language processing, autonomous vehicles, and …

A charge domain SRAM compute-in-memory macro with C-2C ladder-based 8-bit MAC unit in 22-nm FinFET process for edge inference

H Wang, R Liu, R Dorrance… - IEEE Journal of Solid …, 2023 - ieeexplore.ieee.org
Compute-in-memory (CiM) is one promising solution to address the memory bottleneck
existing in traditional computing architectures. However, the tradeoff between energy …

Proposal of analog in-memory computing with magnified tunnel magnetoresistance ratio and universal STT-MRAM cell

H Cai, Y Guo, B Liu, M Zhou, J Chen… - IEEE Transactions on …, 2022 - ieeexplore.ieee.org
In-memory computing (IMC) is an effective solution for energy-efficient artificial intelligence
applications. Analog IMC amortizes the power consumption of multiple sensing amplifiers …

Comprehending in-memory computing trends via proper benchmarking

NR Shanbhag, SK Roy - 2022 IEEE Custom Integrated Circuits …, 2022 - ieeexplore.ieee.org
Since its inception in 2014 [1], the modern version of in-memory computing (IMC) has
become an active area of research in integrated circuit design globally for realizing artificial …

A 1-16b reconfigurable 80Kb 7T SRAM-based digital near-memory computing macro for processing neural networks

H Kim, J Mu, C Yu, TTH Kim… - IEEE Transactions on …, 2023 - ieeexplore.ieee.org
This work introduces a digital SRAM-based near-memory compute macro for DNN
inference, improving on-chip weight memory capacity and area efficiency compared to state …

Trending IC design directions in 2022

CH Chan, L Cheng, W Deng, P Feng… - Journal of …, 2022 - iopscience.iop.org
For the non-stop demands for a better and smarter society, the number of electronic devices
keeps increasing exponentially; and the computation power, communication data rate, smart …

16.5 DynaPlasia: An eDRAM in-memory-computing-based reconfigurable spatial accelerator with triple-mode cell for dynamic resource switching

S Kim, Z Li, S Um, W Jo, S Ha, J Lee… - … Solid-State Circuits …, 2023 - ieeexplore.ieee.org
In-memory computing (IMC) processors show significant energy and area efficiency for deep
neural network (DNN) processing [1–3]. As shown in Fig. 16.5. 1, despite promising macro …

Benchmarking in-memory computing architectures

NR Shanbhag, SK Roy - IEEE Open Journal of the Solid-State …, 2022 - ieeexplore.ieee.org
In-memory computing (IMC) architectures have emerged as a compelling platform to
implement energy-efficient machine learning (ML) systems. However, today, the energy …

An ADC-less RRAM-based computing-in-memory macro with binary CNN for efficient edge AI

Y Li, J Chen, L Wang, W Zhang, Z Guo… - … on Circuits and …, 2023 - ieeexplore.ieee.org
Resistive random-access memory (RRAM) based non-volatile computing-in-memory
(nvCIM) has been regarded as a promising solution to enable efficient data-intensive …