Generative AI for Self-Adaptive Systems: State of the Art and Research Roadmap

J Li, M Zhang, N Li, D Weyns, Z Jin, K Tei - ACM Transactions on …, 2024 - dl.acm.org
Self-adaptive systems (SASs) are designed to handle changes and uncertainties through a
feedback loop with four core functionalities: monitoring, analyzing, planning, and execution …

Rtlcoder: Outperforming gpt-3.5 in design rtl generation with our open-source dataset and lightweight solution

S Liu, W Fang, Y Lu, Q Zhang… - 2024 IEEE LLM Aided …, 2024 - ieeexplore.ieee.org
The automatic generation of RTL code (eg, Verilog) using natural language instructions and
large language models (LLMs) has attracted significant research interest recently. However …

Llm for soc security: A paradigm shift

D Saha, S Tarek, K Yahyaei, SK Saha, J Zhou… - IEEE …, 2024 - ieeexplore.ieee.org
As the ubiquity and complexity of system-on-chip (SoC) designs increase across electronic
devices, incorporating security into an SoC design flow poses significant challenges …

Rtlfixer: Automatically fixing rtl syntax errors with large language models

YD Tsai, M Liu, H Ren - arXiv preprint arXiv:2311.16543, 2023 - arxiv.org
This paper presents RTLFixer, a novel framework enabling automatic syntax errors fixing for
Verilog code with Large Language Models (LLMs). Despite LLM's promising capabilities …

Llm4eda: Emerging progress in large language models for electronic design automation

R Zhong, X Du, S Kai, Z Tang, S Xu, HL Zhen… - arXiv preprint arXiv …, 2023 - arxiv.org
Driven by Moore's Law, the complexity and scale of modern chip design are increasing
rapidly. Electronic Design Automation (EDA) has been widely applied to address the …

NetLLM: Adapting large language models for networking

D Wu, X Wang, Y Qiao, Z Wang, J Jiang, S Cui… - Proceedings of the …, 2024 - dl.acm.org
Many networking tasks now employ deep learning (DL) to solve complex prediction and
optimization problems. However, current design philosophy of DL-based algorithms entails …

LLMs and the Future of Chip Design: Unveiling Security Risks and Building Trust

Z Wang, L Alrahis, L Mankali, J Knechtel… - 2024 IEEE Computer …, 2024 - ieeexplore.ieee.org
Chip design is about to be revolutionized by the integration of large language, multimodal,
and circuit models (collectively LxMs). While exploring this exciting frontier with tremendous …

Assertllm: Generating and evaluating hardware verification assertions from design specifications via multi-llms

W Fang, M Li, M Li, Z Yan, S Liu, H Zhang… - arXiv preprint arXiv …, 2024 - arxiv.org
Assertion-based verification (ABV) is a critical method for ensuring design circuits comply
with their architectural specifications, which are typically described in natural language. This …

Hdldebugger: Streamlining hdl debugging with large language models

X Yao, H Li, TH Chan, W Xiao, M Yuan… - arXiv preprint arXiv …, 2024 - arxiv.org
In the domain of chip design, Hardware Description Languages (HDLs) play a pivotal role.
However, due to the complex syntax of HDLs and the limited availability of online resources …

Make every move count: Llm-based high-quality rtl code generation using mcts

M DeLorenzo, AB Chowdhury, V Gohil… - arXiv preprint arXiv …, 2024 - arxiv.org
Existing large language models (LLMs) for register transfer level code generation face
challenges like compilation failures and suboptimal power, performance, and area (PPA) …