Robotic computing on fpgas: Current progress, research challenges, and opportunities

Z Wan, A Lele, B Yu, S Liu, Y Wang… - 2022 IEEE 4th …, 2022 - ieeexplore.ieee.org
Robotic computing has reached a tipping point, with a myriad of robots (eg, drones, self-
driving cars, logistic robots) being widely applied in diverse scenarios. The continuous …

Robotperf: An open-source, vendor-agnostic, benchmarking suite for evaluating robotics computing system performance

V Mayoral-Vilches, J Jabbour, YS Hsiao… - … on Robotics and …, 2024 - ieeexplore.ieee.org
We introduce RobotPerf, a vendor-agnostic bench-marking suite designed to evaluate
robotics computing performance across a diverse range of hardware platforms using ROS 2 …

Robotcore: An open architecture for hardware acceleration in ros 2

V Mayoral-Vilches, SM Neuman… - 2022 IEEE/RSJ …, 2022 - ieeexplore.ieee.org
Hardware acceleration can revolutionize robotics, enabling new applications by speeding
up robot response times while remaining power-efficient. However, the diversity of …

MPSoC4Drones: An open framework for ROS2, PX4, and FPGA integration

FF Nyboe, NH Malle, E Ebeid - 2022 international conference …, 2022 - ieeexplore.ieee.org
Autonomous drones are facing a tough efficiency challenge due to limitations on the utilized
processing hardware units. Among these limitations is the tradeoff between fast computing …

Design of distributed reconfigurable robotics systems with reconros

C Lienen, M Platzner - ACM Transactions on Reconfigurable …, 2021 - dl.acm.org
Robotics applications process large amounts of data in real time and require compute
platforms that provide high performance and energy efficiency. FPGAs are well suited for …

Adaptive computing in robotics, leveraging ros 2 to enable software-defined hardware for fpgas

V Mayoral-Vilches, G Corradi - arXiv preprint arXiv:2109.03276, 2021 - arxiv.org
Traditional software development in robotics is about programming functionality in the CPU
of a given robot with a pre-defined architecture and constraints. With adaptive computing …

ReconfROS: An approach for accelerating ROS nodes on reconfigurable SoCs

M Eisoldt, M Flottmann, J Gaal, S Hinderink… - Microprocessors and …, 2022 - Elsevier
In this paper, we present an approach to integrate reconfigurable SoCs into the well known
Robot Operating System (ROS) called ReconfROS. Our method allows to implement …

Hardware Acceleration with Zero-Copy Memory Management for Heterogeneous Computing

O Bell, C Gill, X Zhang - 2023 IEEE 29th International …, 2023 - ieeexplore.ieee.org
The ROS2 software framework is increasingly prevalent in component-based applications
for robots and other autonomous systems. Recently added ROS2 features to support zero …

A dataset generation for object recognition and a tool for generating ROS2 FPGA node

H Amano, H Mori, A Mizutani, T Ono… - … Conference on Field …, 2021 - ieeexplore.ieee.org
This paper introduces our autonomous driving system equipped with recognition processing
units from a camera image for hazard object/human-doll detection and drive lane detection …

FPGA implementation of Proximal Policy Optimization algorithm for Edge devices with application to Agriculture Technology

SM Waseem, SK Roy - Journal of Ambient Intelligence and Humanized …, 2023 - Springer
Reinforcement Learning (RL) is a technique where an agent learns to accomplish an
assigned task on the basis of reward phenomenon. RL algorithm when implemented with …