Directed self-assembly of block copolymers on chemical patterns: A platform for nanofabrication

S Ji, L Wan, CC Liu, PF Nealey - Progress in Polymer Science, 2016 - Elsevier
Directed self-assembly (DSA) of block copolymers (BCPs) on lithographically defined
chemically nanopatterned surfaces (or chemical patterns) combines advantages of …

Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat

HS Suh, DH Kim, P Moni, S Xiong, LE Ocola… - Nature …, 2017 - nature.com
Directed self-assembly (DSA) of the domain structure in block copolymer (BCP) thin films is
a promising approach for sub-10-nm surface patterning. DSA requires the control of …

ITRS lithography roadmap: 2015 challenges

M Neisser, S Wurm - Advanced Optical Technologies, 2015 - degruyter.com
In the past few years, novel methods of patterning have made considerable progress. In
2011, extreme ultraviolet (EUV) lithography was the front runner to succeed optical …

High-volume manufacturing equipment and processing for directed self-assembly applications

M Somervell, T Yamauchi, S Okada… - Advances in …, 2014 - spiedigitallibrary.org
Directed Self-Assembly (DSA) is one of the most promising technologies for scaling feature
sizes to 16 nm and below. Both line/space and hole patterns can be created with various …

A novel simple sub-15 nm line-and-space patterning process flow using directed self-assembly technology

Y Seino, Y Kasahara, H Sato, K Kobayashi… - Microelectronic …, 2015 - Elsevier
In order to evaluate process performances of directed self-assembly (DSA) lithography for
semiconductor device manufacturing, we developed a novel simple sub-15 nm line-and …

Graphoepitaxy directed self-assembly process for semiconductor fin formation

J Cheng, ME Colburn, MA Guillorn, C Liu… - US Patent …, 2017 - Google Patents
Guiding pattern portions are formed on a surface of a lithographic material stack that is
disposed on a surface of a semiconductor substrate. A copolymer layer is then formed …

Customization and design of directed self-assembly using hybrid prepatterns

J Cheng, GS Doerk, CT Rettner… - Alternative …, 2015 - spiedigitallibrary.org
Diminishing error tolerance renders the customization of patterns created through directed
self-assembly (DSA) extremely challenging at tighter pitch. A self-aligned customization …

Trench and hole patterning with EUV resists using dual frequency capacitively coupled plasma (CCP)

Y Feurprier, K Lutker-Lee, V Rastogi… - … Etch Technology for …, 2015 - spiedigitallibrary.org
Patterning at 10 nm and sub-10 nm technology nodes is one of the key challenges for the
semiconductor industry. Several patterning techniques are under investigation to enable the …

Atomic layer deposition assisted pattern transfer technology for ultra-thin block copolymer films

W Chen, J Luo, L Meng, J Li, J Xiang, J Li, W Wang… - Thin Solid Films, 2016 - Elsevier
As an emerging developing technique for next-generation lithography, directed self-
assembly (DSA) of block copolymer (BCP) has attracted numerous attention and has been a …

Defect recognition in line-space patterns aided by deep learning with data augmentation

J Ahn, YC Kim, SY Kim, SM Hur… - Journal of Micro …, 2021 - spiedigitallibrary.org
Background: Finding optimal processing conditions to reduce defectivity is a major
challenge in high-resolution lithographic tools such as directed self-assembly and extreme …