Design automation and testing of monolithic 3D ICs: Opportunities, challenges, and solutions

K Chang, A Koneru, K Chakrabarty… - 2017 IEEE/ACM …, 2017 - ieeexplore.ieee.org
Monolithic 3D ICs (M3D) are fabricated using a sequential process that grows new device
and interconnect tiers in a bottom-up fashion. This fabrication process is in contrast to …

AccuReD: High accuracy training of CNNs on ReRAM/GPU heterogeneous 3-D architecture

BK Joardar, JR Doppa, PP Pande, H Li… - … on Computer-Aided …, 2020 - ieeexplore.ieee.org
The growing popularity of convolutional neural networks (CNNs) along with their complexity
has led to the search for efficient computational platforms suitable for them. Resistive …

[HTML][HTML] Cooling future system-on-chips with diamond inter-tiers

M Malakoutian, A Kasperovich, D Rich, K Woo… - Cell Reports Physical …, 2023 - cell.com
Heat spreading is critical in reducing the overall junction temperature of monolithic system-
on-chips (SoCs) and high-heat-flux radio frequency (RF) applications. Bulk diamond has the …

Thermal evaluation of 2.5-D integration using bridge-chip technology: Challenges and opportunities

Y Zhang, TE Sarvey, MS Bakir - IEEE Transactions on …, 2017 - ieeexplore.ieee.org
In this paper, 2.5-D integrated circuits (ICs) using bridge-chip technology are thermally
evaluated to investigate thermal challenges and opportunities for such multi-die packages …

Performance and thermal tradeoffs for energy-efficient monolithic 3D network-on-chip

D Lee, S Das, JR Doppa, PP Pande… - ACM Transactions on …, 2018 - dl.acm.org
Three-dimensional (3D) integration enables the design of high-performance and energy-
efficient network on chip (NoC) architectures as communication backbones for manycore …

DeepOHeat: operator learning-based ultra-fast thermal simulation in 3D-IC design

Z Liu, Y Li, J Hu, X Yu, S Shiau, X Ai… - 2023 60th ACM/IEEE …, 2023 - ieeexplore.ieee.org
Thermal issue is a major concern in 3D integrated circuit (IC) design. Thermal optimization
of 3D IC often requires massive expensive PDE simulations. Neural network-based thermal …

Design challenges and solutions for ultra-high-density monolithic 3D ICs

S Panth, S Samal, YS Yu, SK Lim - 2014 SOI-3D-Subthreshold …, 2014 - ieeexplore.ieee.org
Monolithic 3D ICs (M3D) are an emerging technology that offers an ultra-high-density 3D
integration due to the extremely small size of monolithic inter-tier vias. We explore various …

Thermal-aware design space exploration of 3-D systolic ML accelerators

R Mathur, AKA Kumar, L John… - IEEE Journal on …, 2021 - ieeexplore.ieee.org
Machine learning (ML) accelerators have a broad spectrum of use cases that pose different
requirements on accelerator design for latency, energy, and area. In the case of systolic …

TREAD-M3D: Temperature-Aware DNN Accelerators for Monolithic 3-D Mobile Systems

P Shukla, VF Pavlidis, E Salman… - IEEE Transactions on …, 2023 - ieeexplore.ieee.org
Monolithic 3-D (MONO3 D) integration provides performance and power efficiency benefits
over 2-D circuits and, thus, is a potent technology for the design of deep neural network …

Quantifying the impact of monolithic 3D (M3D) integration on L1 caches

YH Gong, J Kong, SW Chung - IEEE Transactions on Emerging …, 2019 - ieeexplore.ieee.org
Monolithic 3D (M3D) integration has been recently introduced as a viable solution for fine-
grained 3D integration. Since the conventional 3D integration uses relatively large micro …