FinFET versus gate-all-around nanowire FET: Performance, scaling, and variability

D Nagy, G Indalecio, AJ Garcia-Loureiro… - IEEE Journal of the …, 2018 - ieeexplore.ieee.org
Performance, scalability, and resilience to variability of Si SOI FinFETs and gate-all-around
(GAA) nanowires (NWs) are studied using in-house-built 3-D simulation tools. Two …

Recent trends in novel semiconductor devices

A Pandey - Silicon, 2022 - Springer
The VLSI industry has grown a lot for several decades. The Packing density of integrated
circuits has been increased without compromising the functionality. Scaling of …

Comparison of fin-edge roughness and metal grain work function variability in InGaAs and Si FinFETs

N Seoane, G Indalecio, M Aldegunde… - … on Electron Devices, 2016 - ieeexplore.ieee.org
The fin-edge roughness (FER) and the TiN metal grain work function (MGW)-induced
variability affecting OFF and ON device characteristics are studied and compared between a …

Scaling/LER study of Si GAA nanowire FET using 3D finite element Monte Carlo simulations

MA Elmessary, D Nagy, M Aldegunde, N Seoane… - Solid-State …, 2017 - Elsevier
Abstract 3D Finite Element (FE) Monte Carlo (MC) simulation toolbox incorporating 2D
Schrödinger equation quantum corrections is employed to simulate I DV G characteristics of …

[HTML][HTML] A multi-method simulation toolbox to study performance and variability of nanowire FETs

N Seoane, D Nagy, G Indalecio, G Espiñeira, K Kalna… - Materials, 2019 - mdpi.com
An in-house-built three-dimensional multi-method semi-classical/classical toolbox has been
developed to characterise the performance, scalability, and variability of state-of-the-art …

[HTML][HTML] Line-edge roughness from extreme ultraviolet lithography to fin-field-effect-transistor: computational study

SK Kim - Micromachines, 2021 - mdpi.com
Although extreme ultraviolet lithography (EUVL) has potential to enable 5-nm half-pitch
resolution in semiconductor manufacturing, it faces a number of persistent challenges. Line …

Performance enhancement of FINFET and CNTFET at different node technologies

R Hajare, C Lakshminarayana… - Microsystem …, 2016 - Springer
Developing technologies need smaller and faster IC's, hence transistor size has to be scaled
down. In order to satisfy this, transistor size in a chip has been decreased drastically from …

Impact of cross-sectional shape on 10-nm gate length InGaAs FinFET performance and variability

N Seoane, G Indalecio, D Nagy, K Kalna… - … on Electron Devices, 2018 - ieeexplore.ieee.org
Three cross sections (rectangular, bullet shaped, and triangular), resulting from the
fabrication process, of nanoscale In 0.53 Ga 0.47 As-on-insulator FinFETs with a gate length …

Optimization of inversion mode and junctionless nanowire MOSFET for improved sensitivity to process induced variability

R Gautam, J Madan, R Pandey - Applied Nanoscience, 2022 - Springer
With the scaling of MOSFET devices, study of process variations on the electrical
performance of the device has become more important. Also, there is a need to reduce this …

Fluctuation sensitivity map: A novel technique to characterise and predict device behaviour under metal grain work-function variability effects

G Indalecio, N Seoane, K Kalna… - IEEE Transactions on …, 2017 - ieeexplore.ieee.org
A new technique developed for the analysis of intrinsic sources of variability affecting the
performance of semiconductor devices is presented. It is based on the creation of a …