RTL to transistor level power modeling and estimation techniques for FPGA and ASIC: A survey

Y Nasser, J Lorandel, JC Prévotet… - IEEE Transactions on …, 2020 - ieeexplore.ieee.org
Power consumption constitutes a major challenge for electronics circuits. One possible way
to deal with this issue is to consider it very soon in the design process in order to explore …

An energy efficient FPGA partial reconfiguration based micro-architectural technique for IoT applications

WP Kiat, KM Mok, WK Lee, HG Goh, R Achar - Microprocessors and …, 2020 - Elsevier
Low power consumption and high computational performance are two important processor
design goals for IoT applications. Achieving both design goals in one processor architecture …

HL-Pow: A learning-based power modeling framework for high-level synthesis

Z Lin, J Zhao, S Sinha, W Zhang - 2020 25th Asia and South …, 2020 - ieeexplore.ieee.org
High-level synthesis (HLS) enables designers to customize hardware designs efficiently.
However, it is still challenging to foresee the correlation between power consumption and …

Powergear: Early-stage power estimation in FPGA HLS via heterogeneous edge-centric GNNs

Z Lin, Z Yuan, J Zhao, W Zhang… - … Design, Automation & …, 2022 - ieeexplore.ieee.org
Power estimation is the basis of many hardware optimization strategies. However, it is still
challenging to offer accurate power estimation at an early stage such as high-level synthesis …

Hard-odt: Hardware-friendly online decision tree learning algorithm and system

Z Lin, S Sinha, W Zhang - IEEE Transactions on Computer …, 2020 - ieeexplore.ieee.org
Decision trees are machine learning models commonly used in various application
scenarios. In the era of big data, traditional decision tree induction algorithms are not …

Hl-pow: learning-assisted pre-RTL power modeling and optimization for FPGA HLS

Z Lin, T Liang, J Zhao, S Sinha… - IEEE Transactions on …, 2023 - ieeexplore.ieee.org
High-level synthesis (HLS) enables designers to customize hardware designs without the
need for delving into low-level hardware details. However, it is still challenging to establish …

Data-Pattern-Based Predictive On-Chip Power Meter in DNN Accelerator

J Peng, T Liang, J Jiang, Y Zhang, Z Lin… - … on Computer-Aided …, 2024 - ieeexplore.ieee.org
Advanced power management techniques, such as voltage drop mitigation and fast power
management, can greatly enhance energy efficiency in contemporary hardware design …

Efficient runtime power modeling with on-chip power meters

Z Xie - Proceedings of the 2023 International Symposium on …, 2023 - dl.acm.org
Accurate and efficient power modeling techniques are crucial for both design-time power
optimization and runtime on-chip IC management. In prior research, different types of power …

Protection of six‐phase transmission line using recursive estimation of non‐linear autoregression model coefficients and decision tree

TR Althi, E Koley, S Ghosh - IET Science, Measurement & …, 2020 - Wiley Online Library
Ever‐increasing energy demands and limited right of way over land motivates the adoption
of six‐phase power transmission. However, the wider recognition of six‐phase transmission …

High-Level Online Power Monitoring of FPGA IP Based on Machine Learning

M Richa, JC Prévotet, M Dardaillon, M Mroué… - … Workshop on Design …, 2023 - Springer
Nowadays, power optimization has become a major interest for most digital hardware
designers. Some, traditionally, might stick to offline power estimation especially in early …