[图书][B] Metrology and Diagnostic Techniques for Nanoelectronics
Z Ma, DG Seiler - 2017 - taylorfrancis.com
Nanoelectronics is changing the way the world communicates, and is transforming our daily
lives. Continuing Moore's law and miniaturization of low-power semiconductor chips with …
lives. Continuing Moore's law and miniaturization of low-power semiconductor chips with …
Review of virtual wafer process modeling and metrology for advanced technology development
M Hargrove, S Wen, D Yim, KE Ruegger… - Journal of Micro …, 2023 - spiedigitallibrary.org
Semiconductor logic and memory technology development continues to push the limits of
process complexity and cost, especially as the industry migrates to the 5 nm node and …
process complexity and cost, especially as the industry migrates to the 5 nm node and …
Trench and hole patterning with EUV resists using dual frequency capacitively coupled plasma (CCP)
Y Feurprier, K Lutker-Lee, V Rastogi… - … Etch Technology for …, 2015 - spiedigitallibrary.org
Patterning at 10 nm and sub-10 nm technology nodes is one of the key challenges for the
semiconductor industry. Several patterning techniques are under investigation to enable the …
semiconductor industry. Several patterning techniques are under investigation to enable the …
EUV and optical lithographic pattern shift at the 5nm node
ER Hosler, S Thiruvengadam… - Extreme Ultraviolet …, 2016 - spiedigitallibrary.org
At the 5 nm technology node there are competing strategies for patterning: high-NA EUV,
double patterning 0.33 NA EUV and a combination of optical self-aligned solutions with …
double patterning 0.33 NA EUV and a combination of optical self-aligned solutions with …
Spacer multi-patterning control strategy with optical CD metrology on device structures
J Lee, BH Lee, WK Ma, SJ Han, YS Kim… - … Process Control for …, 2016 - spiedigitallibrary.org
Spacer multi patterning process continues to be a key enabler of future design shrinks in
DRAM and NAND process flows. Improving Critical Dimension Uniformity (CDU) for main …
DRAM and NAND process flows. Improving Critical Dimension Uniformity (CDU) for main …
Hybridization of XRF/XPS and scatterometry for Cu CMP process control
B L'Herron, R Chao, K Kim, WT Lee… - … Process Control for …, 2015 - spiedigitallibrary.org
This paper demonstrates the synergy between X-rays techniques and scatterometry, and the
benefits to combine the data to improve the accuracy and precision for in-line metrology …
benefits to combine the data to improve the accuracy and precision for in-line metrology …
Plasma etch patterning of EUV lithography: balancing roughness and selectivity trade off
EUV based patterning is one of the frontrunner candidates enabling scaling for future
technology nodes. However it poses the common challenges of 'pattern roughness' and …
technology nodes. However it poses the common challenges of 'pattern roughness' and …
Amélioration des méthodes de contrôle dimensionnel et d'alignement pour le procédé de lithographie à double patterning pour la technologie 14 nm
D Carau - 2015 - theses.hal.science
En microélectronique, l'augmentation de la densité des composants est la solution
principale pour améliorer la performance des circuits. Ainsi, la taille des structures définies …
principale pour améliorer la performance des circuits. Ainsi, la taille des structures définies …
The improvement of measurement accuracy of SADP pitch walking issue
P Liu, CZ Wu, HW Chao, W Zhou… - … Process Control for …, 2020 - spiedigitallibrary.org
In the 14nm FinFET (Fin-shaped Field-Effect Transistor) node, SADP (Self-Aligned Double
Patterning) technology has been introduced to produce Fin because of the exposure limit of …
Patterning) technology has been introduced to produce Fin because of the exposure limit of …