Recent developments in photoresists for extreme-ultraviolet lithography

CK Ober, F Käfer, C Yuan - Polymer, 2023 - Elsevier
This report describes recent developments and current needs in the field of high-resolution
photopolymers and photomolecules briefly describing prior generation lithographic …

Organometallic and coordinative photoresist materials for EUV lithography and related photolytic mechanisms

G Lim, K Lee, S Choi, HJ Yoon - Coordination Chemistry Reviews, 2023 - Elsevier
Sub-10 nm patterning with extreme ultraviolet (EUV) light is receiving immediate attention as
a next-generation nanolithography technique, but photoresist materials optimized to EUV …

Key role of very low energy electrons in tin-based molecular resists for extreme ultraviolet nanolithography

I Bespalov, Y Zhang, J Haitjema… - … applied materials & …, 2020 - ACS Publications
Extreme ultraviolet (EUV) lithography (13.5 nm) is the newest technology that allows high-
throughput fabrication of electronic circuitry in the sub-20 nm scale. It is commonly assumed …

Mechanistic advantages of organotin molecular EUV photoresists

JH Ma, C Needham, H Wang… - … Applied Materials & …, 2022 - ACS Publications
Extreme ultraviolet (EUV)-induced radiation exposure chemistry in organotin–oxo systems,
represented by the archetypal [(R–Sn) 12O14 (OH) 6](A) 2 cage, has been investigated with …

Absorption coefficient of metal-containing photoresists in the extreme ultraviolet

R Fallica, J Haitjema, L Wu… - Journal of Micro …, 2018 - spiedigitallibrary.org
The amount of absorbed light in thin photoresist films is a key parameter in photolithographic
processing, but its experimental measurement is not straightforward. The optical absorption …

UV and VUV-induced fragmentation of tin-oxo cage ions

J Haitjema, L Wu, A Giuliani, L Nahon… - Physical Chemistry …, 2021 - pubs.rsc.org
Photoresist materials are being optimized for the recently introduced Extreme Ultraviolet
(EUV) photolithographic technology. Organometallic compounds are potential candidates …

Extreme ultraviolet patterning of tin-oxo cages

J Haitjema, Y Zhang, M Vockenhuber… - Journal of Micro …, 2017 - spiedigitallibrary.org
We report on the extreme ultraviolet (EUV) patterning performance of tin-oxo cages. These
cage molecules were already known to function as a negative tone photoresist for EUV …

Unravelling the effect of fluorinated ligands in hybrid EUV photoresists by X-ray spectroscopy

L Wu, I Bespalov, K Witte, O Lugier… - Journal of Materials …, 2020 - pubs.rsc.org
Organic–inorganic hybrid compounds are arising as promising resist materials for extreme-
ultraviolet (EUV) lithography, a new technique introduced in the semiconductor industry for …

High-Resolution Lithographic Patterning with Organotin Films: Role of CO2 in Differential Dissolution Rates

N Kenane, DA Keszler - ACS Applied Materials & Interfaces, 2021 - ACS Publications
Details of the chemistry enabling the patterning of organotin photoresists to single-digit-nm
resolution continue to engage study. In this report, we examine the contributions of …

Mechanistic insights in Zr-and Hf-based molecular hybrid EUV photoresists

L Wu, M Baljozovic, G Portale… - Journal of Micro …, 2019 - spiedigitallibrary.org
Background: Inorganic resists show promising performances in extreme ultraviolet (EUV)
lithography. Yet, there is a need for understanding the exact chemical mechanisms induced …